Cleaning

Published on May 2016 | Categories: Documents | Downloads: 71 | Comments: 0 | Views: 1198
of 7
Download PDF   Embed   Report

Comments

Content

cleaning and preparation of the silicone surface for the further processing. The main goal is to remove the contaminants from the wafer surface and to control chemically grown oxide on the wafer surface. Modern integrated electronics would not be possible without unless the technologies for leaning and contamination control would have been developed, and further reduction of the contamination level of the silicone wafer is mandatory for the further reduction of the IC element dimensions. Wafer cleaning is the most frequently repeated step in IC manufacturing and is one of the most important segment in the semiconductor-equipment business, and it looks as if it will remain that way for some time. Each time device-feature sizes shrink or new tools and materials enter the fabrication process, the task of cleaning gets more complicated. Today, at 0.18-micron design rules, 80 out of ~400 total steps will be cleaning. While the number of cleans increases, the requirement levels are also increasing for impurity concentrations, particle size and quantity, water and chemical usage and the amount of surface roughness for critical gate cleans. Not only is wafer cleaning needed now before each new process sequence, but additional steps are often required to clean up the fabrication process tools after a production run. The issue is that smaller particles are physically more difficult to remove, because it is harder to deliver the necessary force to inuscule dimensions. Thus more energy is required to remove smaller particles. The back end of line (BEOL) has multiple metal layers requiring more specific cleans, such as removing particles and complex organic materials. However, the progression from 0.25 µm to .13 µm design rules has meant the addition of new metal layers. In general, every metal added would introduce three to five BEOL leans depending on the process. With seven metal layers at 0.18 µm, the number of BEOL cleans is not only comparable to but is beginning to exceed the number of front-end cleans.

Types and sources of contamination
Particles- dust, pollen, clothing particles, bacteria, etc. In ordinary room there are as much as 106 particles more then 0.5 micron in diameter per cuft. Particles with diameter more then 20 micron will settle down readily. Particles of diameter from 0.1 to 20 micron are the main problem.

Inorganic contaminants- salts, positive and negative ions in solution, heavy metal atoms. Inorganics are removed by cleaning the wafer in water recirculation systems and using special solutions. Organic contaminants- smog, skin oil, fluxes, lubricants, solvent vapors, monomers from plastic tubing and storage boxes that can condense on substrate. They usually removed using strong oxidizers, gaseous or liquid. Impurities- incorporated during the formation of substrates or overlayer films. Generally, they cannot be removed.

Although no single procedure is perfect for all process steps, typical wet cleaning sequence includes 1 . Sulfuric acid/hydrogen peroxide/ deionized water (SPM, H2SO4/H2O2/H2O@110- 130 degrees C ) . SPM usually used to remove organic contaminations (often called "piranha clean"). 2. Hydrofluoric acid or diluted hydrofluoric acid (HF or DHF @ 20-25 degrees C). It removes oxides from area of interest, etches silicone oxides and dioxides, and reduces metals contamination of the surface. Sometimes buffered oxide etch,(BOE or BHF,/ NH4/HF/H2O @60-80degrees C ) is used in place of DHF in some processes, but exposure to it can lead to NH4F precipitation and contamination. 3. Ammonium hydroxide/ hydrogen peroxide/ DI water mixture(APM,NH4OH/H2O2/H2O@6080degrees C ). APM oxidizes and slightly etches to undercut and remove particles from the surface; it also removes organic and metal contaminants . 4. Hydrochloric acid/hydrogen peroxide/DI water (HPM, HCL/H2O2/H2O@60-80degrees C ) HPM removes metallic contaminants from silicone substrate and acts as oxidizing agent. 5. Ultra-pure water (UPW) Commonly called as DI water, it dilutes chemicals, and rinses solutions after chemical cleans.

Table 2 lists the most commonly used wet cleaning methods for removal of each type of contaminants. Table 2.

Particles

Organic

Metals

Native/chemical oxides

APM

SPM APM

SPM HPM DHF

DHF BHF

RCA Cleannig :- The RCA cleaning sequence developed by Werner Kern in the 1960s still is
used widely in semiconductor manufacturing as a critical clean for the removal of organic, metallic and particulate contamination on wafer surfaces prior to oxide growth operations. The typical sequence starts with SPM for heavy organic removal, followed by dip in the DHF. Socalled Standard Clean 1 (SC-1) uses APM to remove particles and Standard Clean 2(SC-2) uses HPM to remove metal contaminations. High pH SC-1 is an effective particulate removal chemistry, aided by the high negative zeta potential of both silicon and oxide in this pH range. SC-2 is effective at removing metallic contamination with a pH low enough to ensure good metal oxide solubility and with the chlorideion acting as a complexing agent. Typical composition of the traditional SC-1 is chemical ratio of (1:1:5, NH4OH:H2O2:H2O)

at 60°C. Sometimes megasonic energy is used to increase particle removal efficiency. Similarly, typical chemical ratio for SC-2 is (1:1:6, HCl:H2O2:H2O) at 85°C. The composition and order of steps can vary but all wafers are rinsed in UPW after each chemical immersion. Last few years brought few changes, but the basic cleaning philosophy used in most fabrication processes still based on the original RCA process.

Advances in wet cleaning
Wet cleaning account for an important part of a total chemical consumption, and relatively small improvement in chemical usage has a large overall effect. In view of large volume of water and chemical used, an optimization of wet cleaning steps is urgently needed. The new environmentfriendly technologies move toward the reduction of chemicals use, recycling of water and development of improved dry processing techniques to assist wet cleaning. Mechanical cleaning technologies such as centrifugal spraying, water jets, brush scrubbing, pressurized fluids and cryogenic ("frozen") particles jets . The number of cleaning and rinsing steps has also decreased by using so-called Marangoni technique. The Marangoni principle involves the slow withdrawal of wafers from a DI water bath to an environment of isopropyl alcohol (IPA) and nitrogen such that only the portion of the surface that is at the interface of the liquid and vapor phases is "drying" at any one time. In this way, uncontrolled evaporative drying on the wafer is prevented. IPA drying provides a great advantage in hydrophobic cleaning steps such as pre-gate, presilicide and pre-contact cleans. Many advances are based on the use of the diluted chemistries and ozonated UPW as a replacement of hydrogen peroxide or even sulphuric-based mixtures.

Diluted chemistries- The development of dilute cleaning chemistries has peaked industry
interest. As market competitiveness increases, chemical consumption and associated costs become increasingly important. Dilute (1:1:50) ammonium hydroxide (NH4OH)/hydrogen peroxide chemistries (SC-1) have the advantage of reduced oxide loss while preserving excellent particle removal performance. Similarly, many studies have shown that highly dilute (1:1:60) hydrochloric acid/hydrogen peroxide (HCl/H2O2) mixtures (SC-2) are effective at controlling metallic contamination. With implementation of megasonic cleaning, diluted SC-1,SC-2 and HF, and opitimized timing of various cleaning steps, this can lead to 4-50 times reduction in chemicals consumption.

Dry cleaning methods

The introduction of dry cleaning methods into the IC manufacturing process has been slower then expected , although some processes, such as CL-based chemistries, Ar sputtering, and H2

pre-bakes, have been available for 30 years For the past 10 years, the semiconductor industry has anticipated the replacement of wet chemistries with dry cleaning technologies. Integrated, singlewafer cleaning has been contemplated and tested since the late 1980s. With the introduction of single-wafer anhydrous HF (AHF)-vapor, UV/ozone, chemical downstream etch (CDE) and other dry processes, integrated cleaning has become a viable approach to surface contamination control. common methods for the removal of each type of contaminant in the gas phase. Table- Commonly used dry cleaning methods.

Particles

Organics

Metals

Native/chem. oxide

Cryogenic

O2 anneal

Anneal

in

Cl-based

Ar sputter

chemistries.

Laser

Ozone

NO/HCl/N2 anneal

H2 anneal

CO2 snow

UV/ozone

Remote plasma HCl

Remote plasma H2

UV/Cl2 Remote plasma O2 UV/SiCl4

Remote plasma NF3/H2

UV/HCl AHF/H2O

AHF/alcohol solvent

UV/F2/H2

Conventional wet chemistries are very effective for most applications, though there are growing concerns regarding environmental safety and economics. Most likely dry methods will not directly replace wet chemistries in mainstream applications, but instead will supplement wet techniques at various points such as single 300 mm wafer processing. In theory, larger wafers should favor dry chemistries because of increased demand on chemical, water and waste .disposal. Cost effectiveness may also be seen if dry surface cleaning/conditioning modules are added to existing cluster tools. In addition to reduction of chemicals and water, a key advantage of dry surface processing technology is in its compatibility with process integration. Among several possible dry cleaning sequences, sequential UV/O2 treatment for organics removal, AHF/alcoholic solvent process for chemical oxide etching and UV/Cl2 exposure for volatilization of metallic contaminants and slight etching of the silicon surface have been extensively studied. Having passed initial testing, commercial tools are currently available. The UV/Cl2 process, initially developed at Fujitsu, has been shown by various groups to perform well in the conditioning of silicon surfaces, because it can etch a few monolayers of silicon without roughening the surface. UV/Cl2 exposure at temperatures below 200°C at reduced pressure has been shown very effective in Si surface processing prior to low-temperature epi deposition and post-RIE surface treatments in which slight etching of silicon is needed to remove surface damage.

Sponsor Documents

Or use your account on DocShare.tips

Hide

Forgot your password?

Or register your new account on DocShare.tips

Hide

Lost your password? Please enter your email address. You will receive a link to create a new password.

Back to log-in

Close